CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 正弦

搜索资源列表

  1. data_rom

    0下载:
  2. 生成一个正弦波,使用vhdl中的宏功能模块-Generate a sine wave, the use of VHDL in the macro function modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1866
    • 提供者:zts
  1. ddsfpga

    0下载:
  2. 采用vhdl语言实现正弦波形的生成。主要使用的dds技术。-Implementation using VHDL language to generate sine wave-shaped. The main technology used in dds.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:129518
    • 提供者:李江
  1. Sinewavegenerator

    0下载:
  2. 利用VHDL语言设计的正弦波发生器,只是基本操作,仅供学习参考!-Design using VHDL language of sine wave generator, but the basic operation of reference for learning!
  3. 所属分类:Audio program

    • 发布日期:2017-04-28
    • 文件大小:301033
    • 提供者:李明
  1. FPGA_DDS

    0下载:
  2. 本文介绍了如何用VHDL进行DDS的设计,其中关键的相位累加器,正弦信号发生器等用VHDL描述-the DDS is depend on the fpga ,and we descr iption it use the vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:139353
    • 提供者:陈杰卫
  1. EP1C3_12_10_PHAS

    1下载:
  2. 基于FPGA的移相式DDS正弦信号发生器的VHDL源代码,压缩包里是在Quartus里做的工程,FPGA用的是Cyclone1C3系列-FPGA-based phase-shifting of the DDS signal generator sine VHDL source code, compressed in the bag is done in Quartus Engineering, FPGA is used Cyclone1C3 Series
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:48763
    • 提供者:deadtomb
  1. myAD558

    0下载:
  2. AD558 VHDL 程序 *.vhd 包括各种波形发生,正弦波,三角波,梯形波-AD558 vhdl program*.vhd
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:292997
    • 提供者:hongzhe
  1. data_rom

    0下载:
  2. 正弦信号发生器,用VHDL来完成,抗干扰能力较强,-Sinusoidal signal generator, using VHDL to accomplish, a strong anti-interference ability,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1864
    • 提供者:朱翔捷
  1. ddsdds

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号 (2009-01-04, VHDL, 99KB, 9次) -hgfhtht rrgtsrt rthg rgrswt sgethwrathwtHY TSRTTHSRH
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:568490
    • 提供者:nbonwenli
  1. fourkindofwavesproductedbyVHDL

    0下载:
  2. 用VHDL语言编写的信号发生器。共有四种波形,递增锯齿波,方波,三角波,正弦波。因是初学者,故可能有些错误,望各位指正。-VHDL language with the signal generator. There are four types of waveforms, increased sawtooth, square wave, triangle wave, sine wave. I m beginner, so there may be some mistakes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185683
    • 提供者:kinglg
  1. 67506246SIN_fashengqi

    0下载:
  2. jiyu基于vhdl的 正弦波信号发生器的设计-the sin of design based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:408969
    • 提供者:lcz85
  1. cpld_32

    0下载:
  2. 用VHDL语言写的一个32位DDS的程序。可以产生正弦波-VHDL language used to write a 32-bit DDS procedures. Can produce sine wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:540368
    • 提供者:mt
  1. key2

    0下载:
  2. FPGA单片机 vhdl编程 正弦波信号发生器 加2个按键控制频率加减-FPGA Microcontroller vhdl programming sine wave signal generator plus two buttons control the frequency of addition and subtraction
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:1062
    • 提供者:洪理梦
  1. Sinusoidalsignalgenerator

    0下载:
  2. 用硬件描述语言vhdl中的ROM模块实现正弦信号发生器 -Sinusoidal signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:465801
    • 提供者:yeyang
  1. Quartus

    0下载:
  2. 用vhdl编写的信号发生器源程序,可以产生正弦波,也可以根据需要产生其他波形-Prepared using vhdl source signal generator can produce sine wave, you can also produce other waveforms as needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:375914
    • 提供者:LFwen
  1. VHDL_implementation_1KHz_sine_wave_generator

    0下载:
  2. 用VHDL实现1KHz正弦波发生器,编译器是Quartus II 5.4-1KHz sine wave generator using VHDL implementation, the compiler is a Quartus II 5.4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:187494
    • 提供者:ken
  1. DFF_BDF

    0下载:
  2. 利用VHDl语言实现的DDS正弦信号的输出-dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:190139
    • 提供者:前沿部
  1. ROM_based_sine_wave_generator_VHDL_design

    0下载:
  2. VHDL基于ROM的正弦波发生器的设计的实验报告,内附源代码-ROM-based sine wave generator VHDL design of experiment reports, included the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4367
    • 提供者:CXJ
  1. sin_gnt

    0下载:
  2. vhdl语言实现正弦信号发生器,vhdl语言实现正弦信号发生器-Sine Generator
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:177184
    • 提供者:刘德华
  1. VHDL3

    0下载:
  2. 一个使用VHDL进行正弦波信号产生的历程,非常有用。-A sine wave signal generator using VHDL for the course, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1894
    • 提供者:wyb
  1. fazhi

    1下载:
  2. 这是一个将模拟量转换成数字量的VHDL源代码,能够转换正弦波,三角波,方波;通过设定一个阀值,再用比较器比较实现的!-This is an analog quantity into a digital representation of the VHDL source code, to convert sine wave, triangle wave, square wave by setting a threshold, then comparator compares the implem
  3. 所属分类:assembly language

    • 发布日期:2016-01-27
    • 文件大小:480238
    • 提供者:biao
« 1 2 3 4 5 6 7 89 10 11 12 13 »
搜珍网 www.dssz.com